Mass replace CRLF->LF
[openflowjava.git] / openflow-protocol-impl / src / main / java / org / opendaylight / openflowjava / protocol / impl / serialization / match / OxmVlanVidSerializer.java
1 /*
2  * Copyright (c) 2013 Pantheon Technologies s.r.o. and others.  All rights reserved.
3  *
4  * This program and the accompanying materials are made available under the
5  * terms of the Eclipse Public License v1.0 which accompanies this distribution,
6  * and is available at http://www.eclipse.org/legal/epl-v10.html
7  */
8 package org.opendaylight.openflowjava.protocol.impl.serialization.match;
9
10 import io.netty.buffer.ByteBuf;
11
12 import org.opendaylight.openflowjava.protocol.api.util.EncodeConstants;
13 import org.opendaylight.openflowjava.protocol.api.util.OxmMatchConstants;
14 import org.opendaylight.yang.gen.v1.urn.opendaylight.openflow.augments.rev131002.VlanVidMatchEntry;
15 import org.opendaylight.yang.gen.v1.urn.opendaylight.openflow.oxm.rev130731.oxm.fields.grouping.MatchEntries;
16
17 /**
18  * @author michal.polkorab
19  *
20  */
21 public class OxmVlanVidSerializer extends AbstractOxmMatchEntrySerializer {
22
23     @Override
24     public void serialize(MatchEntries entry, ByteBuf outBuffer) {
25         super.serialize(entry, outBuffer);
26         VlanVidMatchEntry vlanVid = entry.getAugmentation(VlanVidMatchEntry.class);
27         int vlanVidValue = vlanVid.getVlanVid();
28         if (vlanVid.isCfiBit()) {
29             short cfi = 1 << 12; // 13-th bit
30             vlanVidValue = vlanVidValue | cfi;
31         }
32
33         outBuffer.writeShort(vlanVidValue);
34         writeMask(entry, outBuffer, getValueLength());
35     }
36
37     @Override
38     protected int getOxmClassCode() {
39         return OxmMatchConstants.OPENFLOW_BASIC_CLASS;
40     }
41
42     @Override
43     protected int getOxmFieldCode() {
44         return OxmMatchConstants.VLAN_VID;
45     }
46
47     @Override
48     protected int getValueLength() {
49         return EncodeConstants.SIZE_OF_SHORT_IN_BYTES;
50     }
51
52 }